Welcome![Sign In][Sign Up]
Location:
Search - vhdl rgb conversion

Search list

[Other resourceYcrcb2rgb

Description: VHDL代码,在fpga上实现图像数据从ycbcr到rgb的转换-VHDL code in fpga on the image data from the ycbcr to rgb conversion
Platform: | Size: 42158 | Author: jihuijie | Hits:

[VHDL-FPGA-VerilogYcrcb2rgb

Description: VHDL代码,在fpga上实现图像数据从ycbcr到rgb的转换-VHDL code in fpga on the image data from the ycbcr to rgb conversion
Platform: | Size: 41984 | Author: jihuijie | Hits:

[Special Effectsrgb_to_yuv

Description: 运用VHDL代码写好的RGB到YUV的颜色空间变换,整个代码已经ALTERA CYCLONE2系列FPGA上验证通过了.能正常工作.-VHDL code written to use the RGB to YUV color space conversion, the entire code ALTERA CYCLONE2 series FPGA has been tested passed. Able to work properly.
Platform: | Size: 2048 | Author: lioushifeng | Hits:

[VHDL-FPGA-Verilogcolor_converter.tar

Description: 此代码实现不同图像颜色制式之间的相互转换,如XYZ<->RGB, 不同标准的RGB<->RGB 以及RGB<->YCbCr之间的转换,包内含有matlab仿真代码m文件、VHDL代码.v文件以及modelsim仿真的testbench文件,相信对大家有一定的帮助-This code different image color conversion between formats, such as XYZ <-> RGB, different standards of RGB <-> RGB and RGB <-> YCbCr conversion between packet contains code m file matlab simulation, VHDL code . v documents and ModelSim Simulation Testbench documentation, I believe everyone will certainly help
Platform: | Size: 339968 | Author: 王弋妹 | Hits:

[Special EffectsHDL

Description: RGB和YCbCr相互转换的Verelog程序-RGB and YCbCr mutual conversion process Verelog
Platform: | Size: 4096 | Author: 罗林波 | Hits:

[Picture Viewerjpeg_rgb

Description: 这是JPEG图像压缩的RGB转换的源代码,其中还包括了它的仿真测试代码,希望能帮助到大家。-This is the JPEG image compression of RGB conversion source code, including its simulation test code, hoping to help you.
Platform: | Size: 3072 | Author: mary | Hits:

[VHDL-FPGA-VerilogRGBtoYCbCr

Description: 采用FPGA实现色彩空间转换R’G’B’ to Y’CbCr的VHDL和verilog源代码,支持xilinx的各种器件. -FPGA realization of the use of color space conversion RGB to Y CbCr of VHDL and Verilog source code, to support a variety of Xilinx devices.
Platform: | Size: 411648 | Author: Jackson | Hits:

[VHDL-FPGA-Verilogyuv_rgb

Description: 完成ITUR656标准的视频流数据向RGB格式的转换。-Complete video streaming ITUR656 standard data format to RGB conversion. Test module
Platform: | Size: 2048 | Author: 黄涛 | Hits:

[Video Capturecamera_up

Description: Camera Interface模块是视频输入转换存储模块。该模块一端接通用的video camera设备,另一端接AHB总线。实现了将Camera捕捉到的数据进行转换、并通过DMA存储到memory中。该IP支持ITU-R BT 601/656 8-bit 模式。支持YCbCr, RGB格式输入。可以将camera产生的YCbCr信号转换成24bit RGB 信号,然后下采样生成16bit RGB 5:6:5的LCD能直接读取显示的数据。该设备支持图像的镜像和翻转,以便适应手持式设备在移动环境中图像的捕捉。可变的同步信号极性使得可以兼容各种摄像头外设。Camera Interface兼容AMBA规范, AHB SLAVE接口,用于读取软件配置数据和设置数据存放地址和1帧数据占用的空间。-The Camera IP Core is small and flexible video data coverter. It is connected to a typical video camera ICs with 8-bit digital video data, Horizontal synchronization and Vertical synchronization signals. The core is connected through FIFO to a WISHBONE bus on the other side. Both sides of the core can operate at fully asynchronous clock frequencies. The Camera IP Core convertes 4:2:2 YCbCr video data (sometimes called YUV, but not totally the same Y is the same, while Cb and Cr are U and V multiplied by a constant) to a 24-bit RGB. 24-bit or 16-bit RGB data, downsampled from 24-bit RGB, is then sent to the system (video) memory, however conversion can also be by-passed. Interrupt can be generated after frame-buffer in system (video) memory is filled up or after setable number of horizontal lines written to frame-buffer.
Platform: | Size: 32768 | Author: 孙喆 | Hits:

[VHDL-FPGA-Verilogxapp930

Description: RGB to Y CB CR conversion source code in VHDL
Platform: | Size: 46080 | Author: niki | Hits:

[EditorCSC_XAPP931_pdf

Description: This document is Xilinx`s Color Space Conversion Applcation Note. This CSC is a RGB to YCrCb Conversion.
Platform: | Size: 271360 | Author: | Hits:

[VHDL-FPGA-Verilogrgb2yuv

Description: 用VHDL和verilog编写的RGB颜色空间到YUV颜色空间的转换程序, 是FPGA视频处理中的常用程序!-Written in VHDL and verilog using RGB color space to YUV color space conversion process is commonly used in video processing FPGA program!
Platform: | Size: 2048 | Author: gilbert | Hits:

[VHDL-FPGA-Verilogcolor_converter_latest.tar

Description: The main purpose of the core is a color transform tasks such as CIE XYZ<->RGB, different RGB<->RGB and RGB<->YCbCr operations. The main part of color conversions from one to another color system concludes in 3x3 matrix multiplication with vector addition. Therefore the present core performs true 3x3 matrix multiplication and vectors addition of the input data stream. In the package ccfactors_pkg.vhd presents matrixes for all of the most popular conversions. You can use these defines or type own factors. Input DATA_WIDTH is changeable and defines by the GENERIC param of the module. Precision of the matrix factors also is changeable. Default precision – 10-E6. Better than enough for color conversion tasks.
Platform: | Size: 338944 | Author: Ning | Hits:

[VHDL-FPGA-Verilogetd-0407109-183702-81-001[1]

Description: 文章介绍了YUV向RGB颜色空间转换的硬件电路实现算法.在高基乘法算法基础上,建立了参数化高基乘法算法模型,并给出了Verilog HDL描述 小数乘法的整数乘法近似和近似误差给予了详细的讨论.采用乘法单元复用的设计结果将在两个时钟周期内完成YUV向RGB的颜色空间转换.-This paper introduces the YUV to RGB color space conversion hardware algorithm. Matrix multiplication algorithm in high-was established based on a parametric model of the high base multiplication algorithm, and gives the Verilog HDL description decimal multiplication and integer multiplication approximation error of approximation give a detailed discussion. using multiplication unit design reuse results will be completed in two clock cycles YUV to RGB color space conversion.
Platform: | Size: 3997696 | Author: jjj | Hits:

[VHDL-FPGA-VerilogYUV2RGB

Description: 该代码可将YUV图像数据转换为VGA显示器能显示的RGB数据,R,G,B的位宽均为4,转换速度快。-The code can be converted to a YUV image data of RGB VGA monitor can display the data, R, G, B of the bit width of 4, the conversion speed.
Platform: | Size: 1024 | Author: 陈雅 | Hits:

[OtherVHDL_Complacated_divider_multiplier_technic

Description: Described as a way difficult to express in the language VHDL complicated point calculation method can be easily expressed in a FPGA-based 2 n wins to reference video signal YCbCr to RGB conversion will be created. This material has a lot of technicians to help distribute the interest fee doeteumyeon.
Platform: | Size: 2048 | Author: jeong | Hits:

[Graph program2f0d6763eae7

Description: yuv2rgb vhdl语言 可以将yuv格式图像转换为rgb格式,非常好用- The code can be converted to a YUV image data of RGB VGA monitor can display the data, R, G, B of the bit width of 4, the conversion speed.
Platform: | Size: 16384 | Author: 宋夏 | Hits:

[OtherC0131522

Description: RGB to YCbCr Color Conversion using VHDL approach
Platform: | Size: 366592 | Author: Awad | Hits:

CodeBus www.codebus.net